ในงานแถลงข่าวออนไลน์ Intel Accelerate ที่ผ่านมาทาง Intel ได้ออกมาแชร์ Roadmap ความเปลี่ยนแปลงที่จะเกิดขึ้นกับสินค้าของทาง Intel และเทคโนโลยีใหม่ ๆ ที่ทาง Intel ได้คิดค้นขึ้นมาอย่างละเอียดจนถึงปี 2025

เทคโนโลยีใหม่ของอินเทล

ด้านซ้ายคือ PowerVia ด้านขวาคือ RibbonFET

ทาง Intel ได้เปิดตัวเทคโนโลยีในการทำ CPU อย่าง RibbonFET เทคโนโลยีทรานซิสเตอร์แบบใหม่หลังจากที่ทาง Intel คิดค้น FinFET ขึ้นมาในปี 2011 Ribbon Fet จะเป็นการวาง Gate รอบๆ ทรานซิสเตอร์และเทคโนโลยี PowerVia ที่เป็นเทคโนโลยีการจ่ายพลังงานจากด้านหลังของ PCB หรือ Backside power Delevery ตัวแรกของโลก และรวมไปถึงการที่ทาง Intel น่าจะได้เครื่องมือในการผลิตแผ่น Wafer ตัวใหม่อย่าง High Numerical Aperture (High NA) EUV เครื่องแรก ๆ ซึ่งเป็นรุ่นต่อไปของ extreme ultraviolet lithography (EUV) ที่หลาย ๆ บริษัทใช้อยู่ตอนนี้

Intel กำลังจะเปลี่ยนชื่อเรียก Node ไม่เอา nm แล้ว

ไม่มีอีกแล้วระบบ nanometer (nm) ที่ทาง Intel ใช้มาตั้งแต่ปี 1997 ทาง Intel ได้ประกาศแล้วว่าพวกเขาเอาคำว่า nanometer ออกไปเลยโดยจะเปลี่ยนเป็นคำว่า Intel แล้วตามด้วยตัวเลขแทน (ต้องจำเพิ่มอีกแร้วว) อีกทั้งทาง Intel ได้ประกาศ Roadmap อย่างชัดเจนมาแล้วตั้งแต่ปี 2021-2024 เราจะได้สินค้าแบบไหนออกมาบ้างและแผนการโดยรวมหลังจากปี 2025 ดังนี้

  • Intel 7 ประสิทธิภาพต่อวัตต์จะดีกว่า 10nm SuperFin ของตอนนี้อยู่ประมาณ 10-15% โดยจะใช้ทรานซิสเตอร์แบบ FinFET ตัวเทคโนโลยี Intel 7 นี้จะถูกนำไปใช้กับ CPU Alder Lake (Gen 12) ที่กำลังจะออกปลายปีนี้ และ CPU สำหรับศูนย์ข้อมูลอย่าง Sapphire Rapids ที่จะเริ่มผลิตในไตรมาสที่ 1 ของปี 2022
  • Intel 4 จะเป็นตัวที่ใช้เทคโนโลยีการผลิตแบบ EUV lithography ให้เต็มประสิทธิภาพ ซึ่งจะทำให้สามารถสร้าง Node ที่เล็กมาก ๆ ได้ซึ่งตัว Intel 4 จะเพิ่มประสิทธิภาพต่อวัตต์จากเดิมขึ้นมาประมาณ 20% เทคโนโลยีนี้จะถูกนำไปใช้กับ Intel Meteor Lake (Gen 13) สำหรับลูกค้าทั่วไปและ Granite Rapids สำหรับศูนย์ข้อมูล โดยจะเริ่มผลิตในช่วงครึ่งหลังของปี 2022 เละพร้อมขายในปี 2023
  • Intel 3 ประสิทธิภาพต่อวัตต์จะเพิ่มขึ้นจาก Intel 4 ประมาณ 18% โดยจะเป็นการพัฒนาทรานซิสเตอร์แบบ FinFET และการผลิตโดย EUV ขึ้นไปอีก โดยคาดว่าจะเริ่มผลิตได้ในช่วงครึ่งปีหลังของปี 2023
  • Intel 20A (Angsrom) ตัวนี้จะนำเทคโนโลยีใหม่อย่าง RibbonFET ที่จะทำให้การเปลี่ยนทรานซิสเตอร์เร็วขึ้นในขณะที่ใช้พลังงานเท่าเดิมและใช้ทรัพยากรอื่นลดลง และ PowerVia ที่ใช้การจ่ายไปด้านหลังแผ่น Wafer แทนทำให้ส่งสัญญาณภายในส่วนต่าง ๆ ได้ดีขึ้น โดยการผลิตคาดว่าน่าจะเริ่มได้ภายในปี 2024 และทาง Qualcomm ก็ได้จับมือกับทาง Intel นำชิป Intel 20A ตัวนี้ไปใช้ด้วย
  • หลังจากปี 2025 ทาง Intel ได้เริ่มพัฒนา Intel 18A แล้วซึ่งคาดว่าน่าจะพัฒนาเสร็จในช่วงปี 2025 พอดี Intel 18A จะเป็นรุ่นที่พัฒนาต่อมาจาก Intel 20A ที่จะใช้เทคโนโลยี RibbonFET ที่อัปเกรดขึ้นมา ทาง Intel ยังได้ทำงานร่วมกับ ASML บริษัทผลิตเครื่องผลิต Wafer ที่ใหญ่ที่สุดในโลก พัฒนา High NA EUV ซึ่งทาง Intel น่าจะได้มาใช้เป็นคนแรก ๆ ของโลก

Packaging

3D Stacking Technology

ในส่วนของ Packaging คือการประกอบสิ่งต่างๆ บนแผ่นซิลิคอนของ CPU อย่างที่เราคุ้นเคยกันนั้นเอง ทาง Intel ก็ได้มีการเผย Road Map ออกมาเช่นกัน

  • embedded multi-die interconnect bridge (EMIB) เทคโนโลยี 2.5D embedded bridge ที่อินเทลใช้มาตั้งแต่ปี 2017 จะถูกนำมาใช้กับ Xeon ครั้งแรกใน Sapphire Rapids อีกทั้งยังเป็น CPU ตัวแรกในขนาด Dual Reticle ที่มีประสิทธิภาพพอ ๆ กับการดีไซน์แบบ Monolithic โดยหลังจาก Sapphire Rapids แล้วรุ่นถัดไปของ EMIB จะเปลี่ยนจาก 55 Micron bump pitch ไปเป็น 45 Micron แทน
  • Foveros เป็นการอัปเกรดการผลิตแผ่น Wafer ที่จะทำให้เกิดการเรียง Core ของ CPU แบบใหม่อย่าง 3D stacking โดย Meteo lake จะเป็นรุ่นที่ 2 ของ CPU สำหรับผู้ใช้งานทั่วไปที่ใช้ Foveros ในการผลิต โดยจะมี Bump pitch อยู่ที่ 36 Micron และ ค่า TDP ที่เริ่มตั้งแต่ 5W จนถึง 125W
  • Foveros Omni จะเป็นรุ่นต่อไปของ Foveros ในรุ่นนี้ความยืดหยุ่นในการเรียง CPU จะมีมากขึ้นโดยจะสามารถผสม Node ที่ต่างกันได้ โดยจะเริ่มผลิตภายในปี 2023
  • Foveros Direct เปลี่ยนเป็นการเชื่อมต่อโดยตรงแบบ Copper to Copper ในส่วนที่มีแรงต้านทานต่ำ และลดความชัดเจนในส่วนที่เชื่อมต่อกันระหว่าง Wafer และ Packaging และจะมี Bump pitch ที่มีขนาดต่ำกว่า 10 Micron, Foveros Direct สามารถเรียง Die ได้แน่นขึ้นทำให้สามารถแยกส่วน Die ได้อย่างที่ไม่เคยมาก่อน โดย Foveros Direct จะเป็นส่วนเสริมของ Foveros Omni และจะออกมาพร้อมกันในช่วงปี 2023

เทคโนโลยีทั้งหมดนี้ถูกพัฒนาในโรงงานที่ ออริกอน และ แอริโซน่า ทาง Intel บอกว่าพวกเขาเป็นผู้พัฒนาเทคโนโลยีแนวหน้าเจ้าเดียวที่ทั้งพัฒนาและผลิตในสหรัฐ และพวกเขาพร้อมที่จะร่วมมือกับรัฐบาลของทั้งสหรัฐและทางยุโรปต่อไปในอนาคต

หวังว่าทาง Intel จะทำได้อย่างที่วางแผนเอาไว้ไม่เหมือนกับก่อนหน้านี้ที่ผลิตอะไรที่ดีกว่า 10nm ได้เลย ทาง Intel หวังจะกลับขึ้นมาเป็นที่หนึ่งในวงการภายในปี 2025 นี้ เราก็หวังว่าทาง AMD จะมีแผนการดี ๆ ที่จะทำมาแข่งกับทาง Intel เพราะการแข่งขันหมายความว่าผู้บริโภคอย่างเรา ๆ จะได้ใช้สินค้าที่ดีขึ้นและเร็วขึ้น

อ้างอิง